Become a fan of Slashdot on Facebook

 



Forgot your password?
typodupeerror
×
Hardware

Canon's Advanced Chip Machines To Cost a Fraction of ASML's Best (bloomberg.com) 28

Canon plans to price its new chipmaking gear at a fraction of the cost of ASML Holding NV's best lithography machines, seeking to make inroads in the cutting-edge equipment now playing a central role in the US-China tech rivalry. From a report: The Tokyo-based company's new nanoimprint technology would open up a way for smaller semiconductor makers to produce advanced chips, now almost wholly the domain of the sector's biggest firms, Chief Executive Officer Fujio Mitarai said. "The price will have one digit less than ASML's EUVs," said the 88-year-old, now on his third stint as Canon's president after last stepping back from day-to-day operations in 2016. He added that a final pricing decision hasn't been made.

Veldhoven, Netherlands-based ASML is the only supplier of extreme ultraviolet lithography tools, the world's most advanced chipmaking machines costing hundreds of millions of dollars each. The product of decades of research and investment, EUV rigs are essential for mass-producing the fastest and most energy-efficient chips, which cram millions of transistors into every square millimeter of silicon. Only a handful of cash-rich companies can afford to invest in the tools, which are now under scrutiny for their linchpin status in the tech supply chain. ASML is banned from exporting EUV systems to Chinese customers, following US pressure on its allies to restrict technology flows to Beijing.

This discussion has been archived. No new comments can be posted.

Canon's Advanced Chip Machines To Cost a Fraction of ASML's Best

Comments Filter:
  • by Evtim ( 1022085 ) on Monday November 06, 2023 @11:30AM (#63984500)

    How does Canon does the lithography? I could not find that info.

    The 10 times cheaper than ASML approach was the selling motto of electron beam lithography. At the end, e-beam lithography could not achieve the throughput necessary for a chip foundry. The technology was purchased by ASML and is now used as an online inspection tool.

    If it is not e-beam, then it is EUV light. How does one created an EUV scanner at 1/10th of the price (while keeping industrially viable throughput) is beyond my extremely limited understanding. Anyone know? And that from a Japanese company! The Japanese a famous for perfection and attention to details but cheap they are not!

    • by ehanuise ( 672994 ) on Monday November 06, 2023 @11:40AM (#63984522)
      Nanoimprint lithography. You can check Asianometry's deep dive video : https://www.youtube.com/watch?... [youtube.com]
    • Nanoimprint stamps the mask like making vinyl records. https://en.wikipedia.org/wiki/... [wikipedia.org]
      • by HiThere ( 15173 )

        Ummm. That *sounds* like it would produce a high error rate.

        Vinyl was cheap, and analog is forgiving of minor imperfections, so that worked pretty well. High quality chip material is expensive, and digital processes are rather unforgiving.

        Intuition doesn't always work out in these things, but my guess is there's a reason that it's 1/10th the price.

        • Yes it does produce a high error rate. Which is why it took 30 years to develop and articles talk about they make 100 copies off the master which are then thrown out after a few uses. But I guess that's still cheaper than EUV lasers.
          • by ceoyoyo ( 59147 )

            It sounds more like the machine is less expensive, because a stamping machine is cheaper than lasers. The price per chip is probably considerably higher, which is why they're talking about it being good for small manufacturers and small runs.

      • I wonder if that can be used to make cheap solar cells?

      • You still need to ebeam the molds, so the question is do the molds last long enough to make up for the time to ebeam each mold?

        • Not just time, but cost. You can mitigate time but making multiple molds while the stamping machine is running.

          The EUV light sources are absolutely INSANE to manufacture, not super efficient, and high maintenance (issues with tin vapor fouling mirrors, etc). The technology to etch the stamping die is well established, reliable, and much cheaper. The technical difficulties have been getting the stamp to produce the necessary features without errors, such as trapping air bubbles or tearing the resist as the s

    • by AmiMoJo ( 196126 )

      TFA mentions that the machines are "much slower", but doesn't quantify that. If they are 1/10th the speed but also 1/10th the cost they might be competitive with ASML.

      I get the impression they are not going for that market though, at least not yet. This is more for small runs of chips, prototypes and low volume products where previously it just wasn't economical to produce them.

      Of course it's a first generation product, so performance will likely improve over time.

  • Payall, can't read (Score:5, Interesting)

    by MpVpRb ( 1423381 ) on Monday November 06, 2023 @12:09PM (#63984622)

    Stop posting bloomberg links

    • If bloomberg keep posting interesting stories, you might want to pay for access to them.

    • by kvezach ( 1199717 ) on Monday November 06, 2023 @12:23PM (#63984662)
      Here is the text:

      Canon’s Advanced Chip Machines to Cost a Fraction of ASML’s Best

      Nanoimprint tech a low-cost alternative to leading-edge EUV
      Canon’s Mitarai wants succession plan to be ‘total surprise’

      Fujio Mitarai Photographer: Shoko Takayasu/Bloomberg
      By Takashi Mochizuki and Yuki Furukawa
      November 5, 2023 at 9:00 PM UTC

      Canon Inc. plans to price its new chipmaking gear at a fraction of the cost of ASML Holding NV’s best lithography machines, seeking to make inroads in the cutting-edge equipment now playing a central role in the US-China tech rivalry.

      The Tokyo-based company’s new nanoimprint technology would open up a way for smaller semiconductor makers to produce advanced chips, now almost wholly the domain of the sector’s biggest firms, Chief Executive Officer Fujio Mitarai said.

      “The price will have one digit less than ASML’s EUVs,” said the 88-year-old, now on his third stint as Canon’s president after last stepping back from day-to-day operations in 2016. He added that a final pricing decision hasn’t been made.

      Veldhoven, Netherlands-based ASML is the only supplier of extreme ultraviolet lithography tools, the world’s most advanced chipmaking machines costing hundreds of millions of dollars each. The product of decades of research and investment, EUV rigs are essential for mass-producing the fastest and most energy-efficient chips, which cram millions of transistors into every square millimeter of silicon.

      Only a handful of cash-rich companies can afford to invest in the tools, which are now under scrutiny for their linchpin status in the tech supply chain. ASML is banned from exporting EUV systems to Chinese customers, following US pressure on its allies to restrict technology flows to Beijing.

      That’s fueled hope for Canon’s new tools, which came to market last month. Tokyo’s chipmaking export curbs, which were expanded in July, do not explicitly name nanoimprint lithography.

      But Canon may not be able to ship the machines to China, according to Mitarai. “My understanding is that exports of anything beyond 14 nanometer technology is banned, so I don’t think we’ll be able to sell.” An official at Japan’s Economy Ministry said he couldn’t comment on how export curbs would affect a particular company or product.

      Canon’s shares are up 27% since the start of the year. Rival Nikon Corp., which also trails ASML in lithography tools, is up 24%. Mitarai during an interview in Tokyo on Oct. 30.

      Canon has been working on nanoimprint processes for almost a decade with Dai Nippon Printing Co. and memory chipmaker Kioxia Holdings Corp. Unlike EUV lithography, which works by reflecting light, Canon’s technology stamps circuit patterns directly onto wafers to create chips at geometries it says are equivalent to the most advanced nodes, albeit at a much slower rate.

      The new machine gives chipmakers the option to lower reliance on foundries, while also making it more feasible for contract chipmakers such as Taiwan Semiconductor Manufacturing Co. and Samsung Electronics Co. to make small lots of chips. The machines require 1/10 of the power of their EUV counterparts, Canon said.

      “I don’t expect nanoimprint technology to overtake EUVs, but I’m confident this will create new opportunities and demand,” Mitarai said. “We are already fielding many inquiries from customers.”

      Canon, which has until now focused on products used to make less advanced chips, began betting on nanoimprint technology in 2014 with an acquisition of pioneer Molecular Imprints Inc. A TSMC supplier, Canon is building its first new plant for lithography equipment in two decades in Utsunomiya, north of Tokyo, to go online in 2025.

      Mitarai, who has been Canon’s president for almost 18 years altogeth
      • One digit less isn't nessacerilly that much. 1,000,000,000 and 999,999,999 are only one digit apart.
      • by Luckyo ( 1726890 )

        So the real question is, if this is going to be "one digit cheaper", it is going to be "more than one digit slower", or "less than one digit slower".

        Because is one of the critical factors in figuring out if this works financially or not. Another is error rate.

    • by Anonymous Coward on Monday November 06, 2023 @12:39PM (#63984722)

      Slashdot undoubtedly gets paid to post all these paywalled links, so it's unlikely that they'll stop. However it's generally pretty easy to find a non-paywalled source of the article, or something similar. In this case, this - Canon’s chipmaking technology promises advanced chips for less [japantimes.co.jp] - appears to be the exact same article.

      • by AmiMoJo ( 196126 )

        I created a bookmarklet that loads the page via archive.is which strips away the paywall.

    • Agreed! Also, if someone includes a URL in a posted comment, Slashdot adds a little [domain.reference] so you can tell if the link is BS before you otherwise have to click it. Wouldn't it be nice if TFS had the same feature, so we'd know not to bother clicking Bloomberg links with less text than TFA, (which seems to happen every single day)?

      • Slashdot already does that in the headline ("Canon's Advanced Chip Machines To Cost a Fraction of ASML's Best (bloomberg.com)") so you don't even have to read TFS and can wait for a dupe from an approved source. Did you think the dupes were just the editors not doing any filtering?
        • Sometimes TFS has multiple links within the body text. My UX suggestion would be applicable to all TFS body links.

          • by jbengt ( 874751 )
            Not quite as good, but I can hover over the anchor text and see the link in my browser to find out where it leads.
    • Word of advice: grab Bypass Paywalls Clean and never have to worry about Bloomberg (or most other) paywalls again: https://gitlab.com/magnolia123... [gitlab.com]

      It's right up there with uBlock Origin in terms of essential extensions for keeping the Web usable.

  • I would like to think so. Multiple sources will yield stories on both US and NL restrictions on AMSL sales and service to China. Will JP place similar restrictions on the Canon systems?

    Of course, what the Japanese can 'reverse engineer', China could also do (eventually). So these technology restrictions are always just temporary impediments.

    • by Wolfier ( 94144 )
      > Of course, what the Japanese can 'reverse engineer', China could also do (eventually). So these technology restrictions are always just temporary impediments. If all goes well, when this eventually happens, the world would have moved onto an even shorter wavelengths and the gap would continue to exist.
  • by jd ( 1658 )

    ASML produce two types of machine - Extreme Ultraviolet Lithography, and Deep Ultraviolet Lithography. EUL they give limited specs for - 13.5nm wavelengths - but they don't go into detail on how small a feature can be. They don't seem to say anything about DUL at all, that I could see. Does anyone have more detailed info?

    The price of Canon's offering might be one digit less than EUV, but if the quality actually compares better with an inferior product, that's not an apples-to-apples comparison. And if the f

  • ... extrapolating from Canon's printer business, you will have to buy "authentic Canon silicon wafers", which will be sold only as dongled cartridges for such outrageous prices, that after your 10'th wafer you have spend as much as if you had bought an ASML machine :-)

Always look over your shoulder because everyone is watching and plotting against you.

Working...